「Vlr-trace-reaction」の版間の差分

提供:GizmoLabs - だいたい CAD LISP なサイト
(ページの作成: ; 構文 : (vlr-trace-reaction) ; 機能 : [トレース]ウィンドウに 1 つまたは複数のコールバックの引数を出力する定義済みのコールバック…)
(相違点なし)

2009年11月23日 (月) 00:36時点における版

構文
(vlr-trace-reaction)


機能
[トレース]ウィンドウに 1 つまたは複数のコールバックの引数を出力する定義済みのコールバック関数。
この関数は、リアクタが起動されたことを確認するためのデバッグ ツールとして使用できる。
引数
  • なし
戻り値
nil


サンプル
コマンド リアクタを定義し、コールバック関数として vlr-trace-reaction を割り当てる。
(VLR-Reaction-Set (VLR-Command-Reactor) :VLR-commandWillStart 'VLR-trace-reaction)
VLR-trace-reaction
CAD コンソール プロンプトに、次のように入力する。
_.LINE
コマンド プロンプトに応答し、次に VLISP ウィンドウをアクティブにして、[トレース]ウィンドウを開く。[トレース]ウィンドウには、次のように表示される事が期待される。
; リアクション: :VLR-commandWillStart; 引数: (#<VLR-Command-Reactor> ("LINE"))
vlr-trace-reaction 関数からの出力は、起動イベントのタイプ、リアクタ タイプ、およびリアクタを起動したコマンドを表している。